DMR News

Advancing Digital Conversations

Samsung Unveils Initiative to Accelerate AI Chip Delivery

ByYasmeeta Oon

Jun 14, 2024

Samsung Unveils Initiative to Accelerate AI Chip Delivery

SEOUL/SAN JOSESamsung Electronics has announced a major advancement in its contract manufacturing business, aimed at expediting the production of AI chips. The South Korean tech giant revealed plans to offer an integrated “one-stop shop” solution, combining its leading memory chip, foundry, and chip packaging services. This approach is designed to capitalize on the burgeoning demand for AI technologies.

Samsung’s new strategy consolidates its memory chip, foundry, and packaging divisions into a single point of contact for clients. This streamlined process has significantly reduced the production time for AI chips by approximately 20%, cutting down the usual weeks-long timeline.

“We are truly living in the age of AI,” declared Siyoung Choi, President and General Manager of Samsung’s Foundry Business, during an event in San Jose, California. “The emergence of generative AI is completely changing the technology landscape.”

Samsung forecasts that the global chip industry will grow to $778 billion by 2028, driven by the surging demand for AI chips. This prediction aligns with the company’s aggressive expansion plans and its confidence in the future of AI technologies.

Projected Chip Industry Revenue Growth (2023-2028)

YearProjected Revenue (Billion USD)
2023500
2024580
2025650
2026700
2027750
2028778

Marco Chisari, Executive Vice President of Foundry Sales and Marketing at Samsung, provided additional context during a pre-event briefing with reporters. He referenced OpenAI CEO Sam Altman’s optimistic projections about AI chip demand as a realistic outlook. Altman has indicated an ambition to construct approximately three dozen new chip factories, underscoring the sector’s rapid expansion.

Chisari noted, “The synergy between our memory, foundry, and chip packaging units gives us a unique competitive advantage. As demand for AI chips escalates, our integrated model will allow us to deliver superior products more efficiently.”

Historically, Samsung’s multifaceted business model—spanning memory chips, foundry services, and chip design—has sometimes deterred potential clients. Concerns have arisen about conflicts of interest, as clients feared their business dealings might inadvertently benefit Samsung’s competing divisions.

However, the escalating need for highly integrated chip solutions to handle vast data sets swiftly and with lower energy consumption has turned this once-perceived weakness into a strength. Samsung’s comprehensive service offering is now seen as advantageous for developing next-generation AI chips.

At the heart of Samsung’s technological advancements is its innovative chip architecture known as gate all-around (GAA). This new type of transistor architecture is crucial for improving chip performance and reducing power consumption, both vital for the future of AI.

“GAA is essential as we push the limits of chip miniaturization and power efficiency,” Choi explained. Samsung is leading the charge by already implementing GAA in its chip production, ahead of competitors like TSMC, the world’s leading foundry.

In the second half of this year, Samsung plans to begin mass production of its second-generation 3-nanometer chips using GAA technology. This leap in technology promises to enhance the performance and efficiency of AI chips significantly.

Samsung continues to push the envelope in semiconductor technology with its recent announcement of a 2-nanometer chipmaking process. This new process is tailored for high-performance computing chips and features power rails on the wafer’s backside to enhance power delivery. The company has slated the mass production of these chips for 2027.

  • One-Stop Shop for AI Chips: Samsung integrates memory, foundry, and packaging services to speed up AI chip production by 20%.
  • Projected Industry Growth: Global chip industry revenue expected to hit $778 billion by 2028, fueled by AI advancements.
  • Gate All-Around Technology: Samsung’s pioneering GAA technology aims to push chip performance while reducing power consumption.
  • Competitive Edge: Samsung’s unique integration of services positions it strongly against competitors like TSMC.
  • Future Plans: Introduction of a 2-nanometer chipmaking process for high-performance computing, with mass production set for 2027.

Samsung’s comprehensive approach and pioneering technologies signal its commitment to leading the AI chip market. As the company continues to innovate, it’s poised to play a pivotal role in shaping the future of AI and semiconductor industries.


Related News:


Featured Image courtesy of DALL-E by ChatGPT

Yasmeeta Oon

Just a girl trying to break into the world of journalism, constantly on the hunt for the next big story to share.

Leave a Reply

Your email address will not be published. Required fields are marked *